Страница 1 из 1

как прошить Altera 3064?

Добавлено: 30 янв 2011, 15:02
Kyzya
сабж.

Re: как прошить Altera 3064?

Добавлено: 30 янв 2011, 15:37
LIC
Kyzya писал(а):сабж.
софт.

Re: как прошить Altera 3064?

Добавлено: 30 янв 2011, 17:52
Kyzya
софт от videogame, 3032 шьются без проблем, но куча плат идет с 3064, вот и хотелось узнать реально ли их прошит или все менять на 3032?

Re: как прошить Altera 3064?

Добавлено: 30 янв 2011, 17:57
LIC
LIC писал(а):софт.
Это была шутка, ибо первый пост толком нечего не говорил, наверное лень писать было.
Kyzya писал(а):софт от videogame, 3032 шьются без проблем, но куча плат идет с 3064, вот и хотелось узнать реально ли их прошит или все менять на 3032?
Ну так там наверное и на "голове" 3512 стоит.

Re: как прошить Altera 3064?

Добавлено: 30 янв 2011, 18:53
Kyzya
головы если что поменяю на 256,хотя там вроде и так 256 были, вопрос вот как альтеры прошить?

Re: как прошить Altera 3064?

Добавлено: 30 янв 2011, 19:09
Aprel
Да, Василий,бывает на "голове" 3512 стоит, но и 3256 встречаются с такими м/с.Можно заменить их (3064) на 3032 с соответствующей прошивкой. Но Kyzya интересует по видимому возможность записи м\c 3064 прошивкой м\c 3032 определенным образом по аналогии с м\с математики. Мне это то же интересно.

Re: как прошить Altera 3064?

Добавлено: 30 янв 2011, 20:20
LIC
Aprel писал(а):бывает на "голове" 3512 стоит, но и 3256 встречаются с такими м/с.нтересует по видимому возможность записи м\c 3064 прошивкой м\c 3032
Возможно и встречаются 3256 + 3064, но "прошивка" 3032 однозначно не заработает с 3064

Table 1. MAX 3000A Device Features
Feature EPM3032A EPM3064A
Usable gates 600 1,250
Macrocells 32 64
Logic array blocks 2 4
Maximum user I/O pins 34 66

Программируемая логика в корне отличается от ПЗУ и "прошивка" указывает на внутренние связи узлов CPLD. В таблице видно какие отличия между 3032 и 3064. Для создание программируемого файла надо иметь как минимум проект и использовать режим миграции или писать свой.

Re: как прошить Altera 3064?

Добавлено: 30 янв 2011, 20:49
СЕРЁГА47
Aprel писал(а):Да, Василий,бывает на "голове" 3512 стоит, но и 3256 встречаются с такими м/с.Можно заменить их (3064) на 3032 с соответствующей прошивкой. Но Kyzya интересует по видимому возможность записи м\c 3064 прошивкой м\c 3032 определенным образом по аналогии с м\с математики. Мне это то же интересно.
У меня есть прошивки 3064 правда не помню под какую голову ( давно не попадались) завтра проверю если нужно выложу. Столкнулся с другой проблемой в последнее время китаёзовские платы пошли с U30 7032 а U28/29/31/ нормальные( 3032) приехал к клиенту ставить его прошивки а у него они с альтерками кое-как насобирал по сусекам 17 штук а куда теперь 7032 девать ? :du_ma_et:

Re: как прошить Altera 3064?

Добавлено: 30 янв 2011, 21:12
Kyzya
LIC писал(а):Программируемая логика в корне отличается от ПЗУ и "прошивка" указывает на внутренние связи узлов CPLD. В таблице видно какие отличия между 3032 и 3064. Для создание программируемого файла надо иметь как минимум проект и использовать режим миграции или писать свой.
как-то сложновато :-(

Re: как прошить Altera 3064?

Добавлено: 30 янв 2011, 21:29
LIC
Kyzya писал(а):как-то сложновато :-(
А кто говорил, что будет легко. Это один из элементов защиты.

Re: как прошить Altera 3064?

Добавлено: 30 янв 2011, 21:41
Kyzya
да я ж вроде взламывать-то и не собирался ничего)) жаль столько альтер менять на 3032